Logic Design - VHDL Sequential Circuits by drifter1

View this thread on steempeak.com
· @drifter1 ·
$16.18
Logic Design - VHDL Sequential Circuits
<html>
<p><img src="https://www.tutorialspoint.com/computer_logical_organization/images/sequential_circuit_blockdiagram.jpg" width="512" height="284"/></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Hey it's me again drifter1! Today we will get into <strong>VHDL Sequential Circuit Programming</strong>. You can find the Theory <a href="https://steemit.com/logic/@drifter1/logic-design-sequential-synchronous-circuits">here</a> if you want to remember things or just got started! I will today talk about how we write a <strong>DFF</strong>, 4 Types of <strong>Registers </strong>and <strong>Counters</strong>! We will also talk about different <strong>Signals</strong>/Inputs that we use to do do things <strong>Asynchronous</strong> and <strong>Synchronous </strong>like Reset Signals! So, let's get started!</p>
<p><br></p>
<h1>Quick Reminders:</h1>
<p>&nbsp;&nbsp;&nbsp;&nbsp;To give you a smooth transition we will first start out with things that you already know! A <strong>Sequential Circuit uses Combinational Logic and Memory Elements</strong>. Memory Elements are things like Latches or Flip Flops that <strong>change Output depending on Input</strong> <strong>and </strong>also <strong>during a specific Clock event</strong>. Such a Memory Element can be Level or Edge Sensitive as you might remember from Theory. We can have positive and negative triggered elements in both Categories. In<strong> Level Sensitive Elements</strong> the Output changes only when the clock has a value of &nbsp;'0' for negative and '1' for positive. In <strong>Edge Sensitive Elements</strong> the Output changes on the transition from '0' to '1' for positive-triggered and from '1' to '0' for negative-triggered elements. Level Sensitive are mostly Latches like a D Latch and Edge Sensitive are the Flip Flops like a D Flip Flop for example. But, we mostly use Flip Flops and I prefer using <strong>D Flip Flops</strong> that are <strong>Positive Edge Sensitive</strong>! Such a D Flip Flop sets the Output to the Input's value when we have a positive edge event ('0' to '1') and else the Circuit keeps it's State! We will use only this Logic in all of our VHDL Circuits to keep things simple!</p>
<p><br></p>
<h1>D Flip Flop:</h1>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Let's now get into how we write a DFF in VHDL Code. A DFF has 2 Inputs D and CLK and 1 or 2 Outputs Q and Q' (don't needed everytime). The Input D is the actual user Input and the second one is the Clock. Q is the real Output and Q' gives us the inverse and so I will skip it cause it's mostly of no use! We will use a process and check for a specific clock event inside of a if statement!</p>
<p>So, our <strong>Code </strong>looks like this:</p>
<pre><code>entity dff is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;clk, d: in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;q: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end dff;</code></pre>
<pre><code>architecture arch of dff is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process(clk)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (clk’event and clk=’1’) then q &lt;= d; -- if(rising_edge(clk)) then q &lt;= d;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;There are two ways of checking this positive edge event as you can see. We can check for a event with clk'event that checks if the value changes and also check that the value is '1' or use a single command called rising_edge that gives 1 when we are on a rising edge!</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;The assignments inside of this if statement will be synchronous. If we also write an else statement the code inside of there would be executed asynchronous and the clock would not play any role. To make something like a reset signal we will first need to know if it will be synchronous or not.&nbsp;</p>
<p>Let's write the two different Reset's to see it better in <strong>Code</strong>!</p>
<p><strong>Synchronous Reset DFF:</strong></p>
<pre><code>entity dffr is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;clk, reset, d: in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;q: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end dffr;</code></pre>
<pre><code>architecture arch of dffr is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process(clk)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (clk'event and clk=’1’) then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;if (reset = '1') then q &lt;=’0’;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;else q &lt;= d;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p><strong>Asynchronous Reset DFF:</strong></p>
<pre><code>entity dffr is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;clk, reset, d: in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;q: out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end dffr;</code></pre>
<pre><code>architecture arch of dffr is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process(clk,reset)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (reset=’1’) then q &lt;=’0’;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;elsif rising_edge(clk) then q &lt;= d;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;I hope that you understood the difference! To make it Synchronous we checked for reset = '1' in a second if inside of the clock event if statement and so made it occur only during a clock event. To make it Asynchronous we checked for reset ='1' inside of a if and &nbsp;put the clock event inside of a else if and so made it independent from the Clock! Using this Logic you can make any other Signal. Useful ones are things like Reset, Enable or Set.</p>
<p><br></p>
<h1>Registers:</h1>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Registers are Memory Elements that use a Syntax similar to a DFF, cause they actually contain many D Flip Flops! But, in Code it looks like we write a DFF that simply has more than the standard Inputs and Outputs! There are 4 Types of Registers that are used and they are splitted by the Input/Output-Bit size!</p>
<p>The <strong>Types </strong>are:</p>
<ul>
  <li><strong>SISO</strong>, Serial In-Out</li>
  <li><strong>SIPO</strong>, Serial In and Parallel Out</li>
  <li><strong>PISO</strong>, Parallel In and Serial Out</li>
  <li><strong>PIPO</strong>, Parallel In-Out</li>
</ul>
<p>&nbsp;&nbsp;&nbsp;&nbsp;<strong>Serial</strong> means that it processes (inputs or outputs) 1-bit a time and <strong>Parallel </strong>is that it has more than 1-bits like 8, 16, 32 to Input or Output!</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;To keep things simple let's suppose we want to process information that has a length of 8 bits. The ones that contain Serial Output will need some kind of temp signal that let's us output the bit's of the input one by one. It's pretty simple to do this using Assignments similar to a logical shift on this temp signal.</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Let's get into <strong>Code </strong>for all those Registers, but don't write simple ones, but include things like reset signals, parallel load signals etc.</p>
<p><strong>SISO with Clock Enable:</strong></p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity siso is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;clock_enable, clk, si: in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;so : out std_logic</code></pre>
<pre><code>);</code></pre>
<pre><code>end siso;</code></pre>
<pre><code>architecture arch of siso is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;signal temp : std_logic_vector (7 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process (clk)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (clk'event and clk='1' and clock_enable='1') then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;temp(7 downto 1) &lt;= temp(6 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;temp(0) &lt;= si;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;so &lt;= temp(7);</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;You can see that the Clock Enable is checked in the if where we detect the Positive Edge for the Clock! Cause, it only makes sense to have it there. We can't actually define if it is Synchronous or Asynchronous, cause with a value of '0' we simply keep our State.</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Also, the most important thing is how we use the shift. We simply move the temp signal one bit to the left and add the new input value on the most right bit. The output is always the most left bit. That way the Input and Output will be processed in 16 Clock Events, 8 for filling the temp signal and 8 to output it!</p>
<p><br></p>
<p><strong>SIPO with Asynchronous Reset:</strong></p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>entity sipo is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;reset, clk, si: in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;po : out std_logic_vector(7 downto 0)</code></pre>
<pre><code>);</code></pre>
<pre><code>end sipo;</code></pre>
<pre><code>architecture arch of sipo is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process(clk, reset)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (reset='1') then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;po &lt;= "00000000";</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;elsif (clk='1' and clk'event)then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;po(7 downto 1) &lt;= po(6 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;po(0) &lt;= si;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;You can see that we use the same concept as in the Asynchronous DFF Reset. We also this time don't need a temp signal but simple do the shift on the output and add the new readed input to the end! This Circuit would take 8 Clock Events to output the whole Input.</p>
<p><br></p>
<p><strong>PISO with Asynchronous Load:</strong></p>
<pre><code>entity piso is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;clk,load : in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;pi : in std_logic_vector(7 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;so : out std_logic);</code></pre>
<pre><code>end piso;</code></pre>
<pre><code>architecture arch of piso is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;signal t : std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;signal temp: std_logic_vector(7 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process (clk,pi,load)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (load='1') then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;temp(7 downto 0) &lt;= pi(7 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;elsif (CLK'event and CLK='1') then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;t &lt;= temp(7);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;temp(7 downto 1) &lt;= temp(6 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;temp(0) &lt;= '0';</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;so &lt;= t;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;The Load Signal actually simply loads the Input to the temp Signal to make the Output be outputed directly! Using the load signal first we will need 9 Clock Events to Output the whole Input, 1 for the Loading and 8 for the Serial Output!</p>
<p><br></p>
<p><strong>PIPO:</strong></p>
<pre><code>entity pipo is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;clk : in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;pi : in std_logic_vector(7 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;po : out std_logic_vector(7 downto 0)</code></pre>
<pre><code>);</code></pre>
<pre><code>end pipo;</code></pre>
<pre><code>architecture arch of pipo is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process (clk)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (CLK'event and CLK='1') then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;po &lt;= pi;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;I think that it's pretty self explanatory. The Input gets passed directly in 1 Clock Event to the Output! I also only used a simple PIPO and let the Experimentation with different Signals to you.</p>
<p><br></p>
<h1>Counter:</h1>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Let's lastly for today talk about how we write a Counter Circuit! For sake of better usage I'm thinking that a <strong>Modulo 10 Counter</strong> is the best to do, cause it includes the rollback to 0(0000) from 9(1001) and can be edited very easily for any need! We will this time use the numeric package for first time in our VHDL Series to do the calculation on a temp signal and then pass it to the Output the same way we did it with the Registers using the pseudo-shift.&nbsp;</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;The Circuit will contain the Clock Input, a State Input, a Load Signal and the Output. The Clock needs no explanation, the Load Signal will be used to initialize the counter to the value that is on the State Input and lastly the Output will contain the current value of the Counter. We will have the Load Signal be asynchronous and the Output will be passed using a temp signal!</p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;A Modulo-10 Counter counts from 0 to 9 and rolls back and so we will need 4 bits to representate the State Input, Output and also for the temp signal! But, we will actually don't use a Signal for temp, but something new called variable! A variable is much better in this circumstance, cause we can't simply add 1 to a signal, but can do it to a variable with ease.</p>
<p>We <strong>define a Variable</strong> using:</p>
<p><em><strong>variable name: type</strong></em></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Then the only thing that changes is the assignment symbol. We will use <strong>:= instead of &lt;=</strong> and else nothing else changes!</p>
<p>So, the <strong>Code</strong> is pretty simple and looks like this:</p>
<pre><code>library ieee;</code></pre>
<pre><code>use ieee.std_logic_1164.all;</code></pre>
<pre><code>use ieee.numeric_std.all;</code></pre>
<pre><code>entity modulo10_counter is</code></pre>
<pre><code>port(</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;cin : in std_logic_vector(3 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;load, clk : in std_logic;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;cout : out std_logic_vector(3 downto 0)</code></pre>
<pre><code>);</code></pre>
<pre><code>end modulo10_counter;</code></pre>
<pre><code>architecture arch of modulo10_counter is</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;process (clk)</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;variable cur_state: unsigned(3 downto 0);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;begin</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;if (load='1') then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;cur_state := unsigned(cin);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;elsif (cur_state="1001") then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;cur_state := "0000";</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;elsif (clk'event and clk='1') then</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;cur_state := cur_state + 1;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end if;</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;cout &lt;= std_logic_vector(cur_state);</code></pre>
<pre><code>&nbsp;&nbsp;&nbsp;&nbsp;end process;</code></pre>
<pre><code>end arch;</code></pre>
<p><br></p>
<p>&nbsp;&nbsp;&nbsp;&nbsp;Hope that you understood the difference between Variables and Signals and why we need them here! I will talk more about Variables, Constants, Datatypes etc. next time in Testbenches and Datatypes, and this is actually it for today and I hope you enjoyed it!</p>
<p>Until next time...Bye!</p>
</html>
👍  , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , and 49 others
properties (23)
post_id13,660,343
authordrifter1
permlinklogic-design-vhdl-sequential-circuits
categorylogic
json_metadata"{"app": "steemit/0.1", "format": "html", "links": ["https://steemit.com/logic/@drifter1/logic-design-sequential-synchronous-circuits"], "image": ["https://www.tutorialspoint.com/computer_logical_organization/images/sequential_circuit_blockdiagram.jpg"], "tags": ["logic", "design", "vhdl", "sequential", "circuits"]}"
created2017-09-24 17:56:06
last_update2017-09-24 17:56:06
depth0
children1
net_rshares4,530,644,379,171
last_payout2017-10-01 17:56:06
cashout_time1969-12-31 23:59:59
total_payout_value12.398 SBD
curator_payout_value3.784 SBD
pending_payout_value0.000 SBD
promoted0.000 SBD
body_length17,856
author_reputation59,186,440,518,630
root_title"Logic Design - VHDL Sequential Circuits"
beneficiaries[]
max_accepted_payout1,000,000.000 SBD
percent_steem_dollars10,000
author_curate_reward""
vote details (113)
@steemitboard ·
Congratulations @drifter1! You have completed some achievement on Steemit and have been rewarded with new badge(s) :

[![](https://steemitimages.com/70x80/http://steemitboard.com/notifications/voted.png)](http://steemitboard.com/@drifter1) Award for the number of upvotes received

Click on any badge to view your own Board of Honor on SteemitBoard.
For more information about SteemitBoard, click [here](https://steemit.com/@steemitboard)

If you no longer want to receive notifications, reply to this comment with the word `STOP`

> By upvoting this notification, you can help all Steemit users. Learn how [here](https://steemit.com/steemitboard/@steemitboard/http-i-cubeupload-com-7ciqeo-png)!
properties (22)
post_id13,675,779
authorsteemitboard
permlinksteemitboard-notify-drifter1-20170924t224103000z
categorylogic
json_metadata"{"image": ["https://steemitboard.com/img/notifications.png"]}"
created2017-09-24 22:41:03
last_update2017-09-24 22:41:03
depth1
children0
net_rshares0
last_payout2017-10-01 22:41:03
cashout_time1969-12-31 23:59:59
total_payout_value0.000 SBD
curator_payout_value0.000 SBD
pending_payout_value0.000 SBD
promoted0.000 SBD
body_length695
author_reputation38,705,954,145,809
root_title"Logic Design - VHDL Sequential Circuits"
beneficiaries[]
max_accepted_payout1,000,000.000 SBD
percent_steem_dollars10,000